[FrontPage] [TitleIndex] [WordIndex

/*
 * Test of the cpu module
 */
module cpu_stimulus;
   parameter clockDelay = 100000;
   reg       clk, reset;
   
   integer   loop;
   
   // clock setup
   initial clk = 0;
   always #(clockDelay/2) clk = ~clk;

   // the stimulus
   initial
   begin
     // reset
     #(clockDelay/2);
     reset=1;
     #(clockDelay);
     reset=0;

     for( loop=0; loop<1000000; loop=loop+1 )
     begin
       #(clockDelay);
     end
     $writememh("datamem.out", mycpu.mydatapath.mydatamem.datamem);
     $finish;
   end // initial begin
   
   cpu mycpu(reset,clk);

endmodule // cpu_stimulus

2013-08-01 15:09